分类
麻省理工学院新闻

中学生遇见一束电子,兴奋结果

Rebecca Li helps three middle schoolers, two standing and one seated at a computer, controlling a scanning electron microscope.A middle schooler, pictured from behind, takes a photo on her phone of a fellow program participant using a scanning electron microscope.An MIT grad student helps four middle-school students use a scanning electron microscope.An MIT grad student helps four middle schools explore material samples with an advanced microscope.Eight middle school students sit at tables in a classroom at MIT. One is smiling at the camera.Nick Sbalbi watches four middle schoolers clustered around the computer controls of a scanning electron microscope.10 middle school students and one adult smile for a photo in a laboratory at MIT.

想让中学生对科学感到兴奋吗?让他们在麻省理工学院纳米最先进的显微镜上做自己的实验——当然,有指导方针和成人监督。这是麻省理工学院研究生Carl Thrasher和Tao Cai的心血结晶,他们率先发起了电子显微镜提升教育代表性和增长(EMERGE)计划。

EMERGE于11月举行,邀请了18名八年级学生参加在MIT.nano(一个跨学科的纳米研究机构)举行的试点活动,以获得显微镜和材料科学的实践经验。

两个小时的工作坊的亮点:每个学生都使用两个扫描电子显微镜(SEM)中的一个探索日常材料的神秘样品,这些扫描电子显微镜使用电子束扫描材料样品以形成图像。尽管非常复杂,但这些仪器产生了易于理解的数据 – 例如蝴蝶翅膀或头发中复杂结构的图像。

A dragonfly leg, seen magnified many times under a scanning electron microscope, looks like two giant claws

蜻蜓腿,通过扫描电子显微镜看到。这张图片是由 MITES 星期六中学生之一 Tyler Flynn 在 11 月在 MIT.nano 举行的名为 EMERGE(电子显微镜提升教育代表性和增长)的试点活动中生成的。
图片由 EMERGE 计划提供。


麻省理工学院材料科学与工程系(DMSE)的Thrasher说,学生们有一种立竿见影的成功感。他与同样来自DMSE的Cai和化学系的研究生Collette Gordon一起领导了这个项目。

“这种经历有助于围绕这一科学领域建立一种能动性和自主性,培养学生萌芽的自信心,”Thrasher说。“我们没有给学生指示,只是授权他们解决问题。当你不告诉他们解决方案时,你会对他们想出的东西感到非常惊讶。

释放对无穷小的兴趣

这些学生是麻省理工学院技术、工程和科学导论(MITES)运营的名为MITES Saturdays的多年科学和工程探索计划的一部分。一个志愿者团队在现场帮助学生遵循Thrasher制定的指导,确保仔细处理SEM–每个价值约50万美元。

MITES Saturdays 项目管理员 Lynsey Ford 很高兴看到学生们的自主探索和热情。

“我们的学生遇到了真正的科学家,他们倾听他们的意见,关心他们提出的问题,并欢迎他们进入科学世界,”福特说。“一个支持性的学习环境对于科学发现来说,就像五十万美元的显微镜一样强大。

试点研讨会是 Thrasher 和他的团队朝着将 EMERGE 打造成一个具有广泛影响力的项目迈出的第一步,让来自各个社区的初中生和高中生参与进来。

Thrasher说,与MITES Saturdays的合作对于这项工作至关重要,它提供了一个平台来接触更广泛的受众。“看到来自不同背景的学生参加 EMERGE 加强了科学教育可以产生的深刻差异。”

MITES Saturdays 的学生是来自波士顿、麻省理工学院的家乡剑桥和附近的劳伦斯的马萨诸塞州 7 至 12 年级学生。

“参加我们课程的大多数学生将是他们家中第一个上大学的人。他们中的许多人来自平衡某种经济困难的家庭,以及历史上在STEM中代表性不足的人群,“福特说。

经验丰富的SEM用户设置了仪器并准备了测试样品,以便学生可以通过操作显微镜来调整放大倍率、焦距和载物台位置,轮流探索毛刺、蝴蝶翅膀、计算机芯片、头发和花粉等标本。

学生们带着他们生成的电子显微镜图像的副本离开了 EMERGE 活动。Thrasher希望他们将这些材料用于后续项目,最好将它们整合到现有的学校课程中,以便学生可以分享他们的经验。

EMERGE联合主任蔡说,学生们对他们的实验感到兴奋,无论是能够使用如此高端的设备,还是看到像魔术贴这样的材料在SEM下是什么样子的(剧透警告:这是意大利面)。

Velcro, seen through scanning electron microscope, looks like an assortment of strings

11 月,MIT.nano 的 EMERGE 项目的 MITES 学生 Ishika Roy 使用扫描电子显微镜放大了魔术贴材料样品。
图片由 EMERGE 计划提供。


“我们确实看到了火花,”蔡说。“主题很复杂,但学生们总是想知道更多。”节目结束后的反馈是积极的,大多数人说这次经历很有趣,也很有挑战性。志愿者们注意到学生们对SEM和主题的参与程度。一位志愿者无意中听到学生说:“我感觉自己像个真正的科学家!

激励未来的科学家

EMERGE基于扫描电子显微镜教育者计划,这是一项长期运行的STEM推广计划,由空军研究实验室于1991年启动,并被密歇根州立大学采用。作为驻扎在俄亥俄州赖特-帕特森空军基地的空军上尉,Thrasher作为志愿SEM专家参加了该计划。

“我认为这对年轻学生来说是一个难得的机会,并想把它带到麻省理工学院,”他说。

由于MITES Saturdays团队和DMSE研究生组织研究生材料委员会(GMC)的支持,该试点成为可能。Cai 和 DMSE 研究生 Jessica Dong 都是 GMC 外展主席,他们帮助资助、组织和协调了这次活动。

MITES Saturdays的学生在11月的MITES秋季研讨会上,在最后的演讲中回顾了他们对SEM的反思。

“本学期我最喜欢的部分是使用 SEM,因为它向我介绍了电子水平的显微镜,”一名学生说。

“我们的学生在 EMERGE 团队度过了一段难以置信的时光。我们很高兴未来有可能与麻省理工学院纳米分校和麻省理工学院的其他部门建立合作伙伴关系,让我们的学者有机会成为未来的科学家,“麻省理工学院执行董事Eboney Hearn说。

随着试点的成功,EMERGE团队希望在春季为MITES学生提供更多课程。安娜·奥谢罗夫(Anna Osherov)很高兴能让学生更多地接触到麻省理工学院(MIT.nano)积累的员工知识和尖端设备,该学院于2018年开业。Osherov 是 Characterization.nano 的副主任,这是一个用于高级成像和分析的共享实验设施。

“我们的使命是支持成熟的研究人员,并帮助激励未来的博士和教授,他们将来到麻省理工学院学习、研究和创新,”奥谢罗夫说。“设计和提供此类课程,旨在培养年轻人的天生好奇心和创造力,对我们的社会具有巨大的长期利益。我们可以以更好的方式培养下一代。

就她而言,福特仍然在学生们的兴奋中滑行。“他们非常好奇和渴望知识。他们每天都在提醒我这个世界是多么神奇。

新闻旨在传播有益信息,英文版原文来自https://news.mit.edu/2024/middle-school-students-meet-beam-electrons-excitement-results-0129